您现在的位置是: 首页 > 汽车评测 汽车评测

modelsim_modelsim是干嘛的

zmhk 2024-06-01 人已围观

简介modelsim_modelsim是干嘛的       大家好,今天我要和大家探讨一下关于modelsim的问题。为了让大家更容易理解,我将这个问题进行了归纳整理,现在就让我们一起来看看吧。1.mod

modelsim_modelsim是干嘛的

       大家好,今天我要和大家探讨一下关于modelsim的问题。为了让大家更容易理解,我将这个问题进行了归纳整理,现在就让我们一起来看看吧。

1.modelsim仿真中为我仿真的信号都是Hiz状态,这是什么原因啊?

2.modelsim怎么在波形图上加文本

3.如何在modelsim中添加altera的仿真库

4.modelsim调用vivado IP核

5.modelsim仿真软件?什么意思?这软件是制作什么功能?

6.modelsim吃显卡吗

modelsim_modelsim是干嘛的

modelsim仿真中为我仿真的信号都是Hiz状态,这是什么原因啊?

       要更改代码,代码错误了。

       代码如下:

       LIBRARYIEEE

       USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYCNT4IS

       PORT(CLK:INSTD_LOGIC;

       Q:OUTSTD_LOGIC_VECTOR(3DOWNTO0));

       ENDCNT4;

       ARCHITECTUREBEHOFCNT4IS

       SIGNALQ1:STD_LOGIC_VECTOR(3DOWNTO0);BEGIN

       PROCESS(CLK)BEGIN

       IFCLK'EVENTANDCLK='1'THENQ1<=Q1+1;ENDIF;ENDPROCESS;Q<=Q1;ENDBEH;

扩展资料:

       ModelSim有几种不同的版本:SE、PE、LE和OEM,其中SE是最高级的版本,而集成在 Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。

       SE版和OEM版在功能和性能方面有较大差别,比如对于大家都关心的仿真速度问题,以Xilinx公司提供的OEM版本ModelSim XE为例,对于代码少于40000行的设计,ModelSim SE 比ModelSim XE要快10倍;对于代码超过40000行的设计,ModelSim SE要比ModelSim XE快近40倍。

modelsim怎么在波形图上加文本

       VHDL 语言一般会调用各种库( library ),这些库包括用户自定义库、其他 EDA 软件下的库,比如 altera 、 synopsys 等 EDA 软件下定义的库。而目前modelsim都会兼容很多流行EDA厂商的library,比如上面提到的altera、synopsys等,这些library设计者都不用去自己建立,modelsim已经为我们建立好了,但用户自定义的库还要设计者自己在modelsim中建立,而在 model-sim 如何调用这样的库,有以下步骤:

        1. 在 modelsim 下建立一个 project ,首先应该建立这些用户自定义库、其他 EDA 软件下的库,而不是新建一个工程。

        2. 先在建立一个文件夹,把要建立的库文件拷到这个目录下。比如 D:modelsim_project/user_lib

        3. 先打开 modelsim ,在 file 菜单下选择 change? directory ,在弹出对话框中选择 D:modelsim_project/user_lib

        4.? 执行菜单 File->new->Library ,按照下图操作,完成 library 的命名,比如命名为 jpeg2k_e 。

        5.? 然后执行菜单 complie->complie… ,然后选 D:modelsim_project/user_lib 下的库文件,然后点击 complie ,完成后点击 done 完成。这里特别注意,在下图的最上面 library 的选框中,一定要选择刚才建立的 library 的名称,上面我们命名为 jpeg2k_e ,所以,选择的 library 的名称一定为 jpeg2k_e ,默认的一般是 work ,所以大家一定要改过来,改成自己刚才新建的库的名称,这点这重要,我之前在操作中犯过这样的错误,采用了默认的库名 work ,导致建立不成功。

        6.? 最后,在该目录 D:modelsim_project/user_lib 下或者 D:modelsim_project/user_lib/jpeg2k_e 目录下会生成一个文件“ modelsim.ini ”,打开后添加以下语句:

        jpeg2k_e = D:modelsim_project/user_lib/jpeg2k_e 。

        7. 重启 modelsim ,或者刷新 library ,就 ok 了。

        ?

        ?

如何在modelsim中添加altera的仿真库

在绘图区按下“Ctrl+T”,然后点鼠标左键,就可进行文本输入。

       如果是创建工程时候添加文本,modelsim会自动弹出添加文件的对话框,你可以选择空白文件或者已经存在的文件。

       Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。

modelsim调用vivado IP核

       1.设置仿真库路径

        打开ModelSim安装目录(我用的是ModelSim SE 6.2版本,安装在D:\ModelSim\Installfiles目录下),新建文件夹altera,我们就在该目录下存放预编译的各种Altera库。

        启动ModelSim SE 6.2,在主窗口执行File/Change Directory命令将路径转到altera文件夹。或在命令行中执行cd D:/ModelSim/Installfiles/altera。

       2.新建库

        Quartus II中提供的仿真库文件存放的路径是...\altera\80\quartus\eda\sim_lib,每个库文件提供了两种形式:.v(Verilog)

       格式和.vhd(VHDL)格式两种,根据你所用的语言选择使用。用于编译资源库的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primitives_components.vhd文件。网上的很多教程都是把这些文件一起编译,这样适用于Verilog和VHDL混合仿真,但如果只用一种语言,如Verilog则完全没必要全部编译。下面以该目录下的altera_mf.v为例介绍建立预编译库的方法。

        注:ModelSim中仿真库可以分为两大类:第一类是工作库(working),默认值为“work”目录,work目录中包含当前工程下所有被编译的设计单元,编译前必须建立一个work库,并且每个编译有且仅有一个work库;第二类是资源库(resource),存储能被当前编译引用的设计单元,在编译期间允许有多个resource库。

        在主窗口中选择File/New/Library命令,新建一个名为altera_mf的库。

       3.编译库

        方便起见在altera文件夹下新建目录src,把用于编译资源库的文件复制到src文件夹中。

        在主菜单中选Compile/Compile命令,弹出Compile Source Files对话框,library中选择你刚才建立的库名

       altera_mf,查找范围你选择altera_mf.v文件,刚才你已经把它复制到了...\altera\src目录下。执行编译命令。

        继续按照步骤2和3中介绍的方法添加剩下的几个库。添加完成后如下图所示。

       4.配置modelsim.ini文件

        这一步是为了将前面建立的库添加进系统库,以后就不用再重复添加了。

        ModelSim安装根目录下的配置文件modelsim.ini的只读属性去掉,用记事本或其他文本编辑程序打开。在[Library]下修改前面

       添加的库的路径。注意修改后关闭并改回只读属性。

        注:第1步设置的仿真库路径必须在ModelSim的安装目录下才能事业能够此相对路径。

        altera_primitives = $MODEL_TECH/../altera/altera_primitives

        220model = $MODEL_TECH/../altera/220model

        altera_mf = $MODEL_TECH/../altera/altera_mf

       5.添加Altera底层硬件原语仿真库(ATOM)

        在时序仿真时,必须加载所使用到的对应于具体Altera器件的底层硬件原语的仿真库(ATOM)。

        上面编译了Altera三个资源库文件:220model.v,altera_mf.v,altera_primitives.v,编译通过之后。根据我们选用的具体器件型号继续编译我们所需要的器件底层原语仿真库文件,比如我们选择使用的是cycloneii,所以编译cycloneii_atoms.v。

modelsim仿真软件?什么意思?这软件是制作什么功能?

       打开vivado, 点击tools下的compile simulation libraries,设置Modelsim的路径以及生成libraries的位置

        打开Modelsim安装路径下的modelsim.ini以及生成库路径下的modelsim.ini

        将生成库路径下的modelsim.ini中所有IP库全部复制到安装路径modelsim.ini中的对应位置

        把vivado工程中IP核文件下的sim文件中对应的.v文件或者.hdl文件添加到我们modelsim工程中

        注意vivado不同版本需要不同的Modelsim版本,如果不是对应的可能在compile libraries显示编译不成功,vivado2018.3需要对应modelsim10.6

modelsim吃显卡吗

       modelsim是进行电路仿真的,要知道电路由各种器件组合在PCB板上而成,与软件设计不同,电路做成实物成本较高,难于修改,所以要有这样的软件,先在电脑上模拟电路制作出来以后的运行功能状态(这里面有复杂的器件模型),然后看看是不是符合你的预期,如果有问题,就要调整你的电路(通常是用HDL语言),直到看上去都没什么问题,才下决心去制板,这样成功率就比较高啦(虽然绝大多数情况下,我做出来的板子还是会让我崩溃……)。

modelsim怎么自动生成testbench

       ModelSim的使用:

       1、打开ModelSim软件;

       2、在modelsim中建立project,选择File->New->Project;

       3、在“ Project Name”栏中填写工程名;

       4、编译所选功能需要先选中一个或几个文件,执行该命令可以完成对选中文件的编译;编译全部功能不需要选中文件,该命令是按编译顺序对工程中的所有文件进行编译。

       Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。

       ModelSim,首先(open)打开需要仿真的模块 ,Source -> Show Language Templates,

       在显示的 Language Templates栏目中选择“Create Testbench”

       软件自动弹出的"Create Testbench Wizzard”窗口中,在"work"下选择待仿真模块,按照提示走完,即自动生成。

扩展资料

       主要特点

RTL和门级优化,本地编译结构,编译仿真速度快,跨平台跨版本仿真;

       单内核VHDL和Verilog混合仿真;

       源代码模版和助手,项目管理;

       集成了性能分析、波形比较、代码覆盖、数据流ChaseX、Signal Spy、虚拟对象Virtual Object、Memory窗口、Assertion窗口、源码窗口显示信号值、信号条件断点等众多调试功能;

       C和Tcl/Tk接口,C调试;

       对SystemC的直接支持,和HDL任意混合;

       支持SystemVerilog的设计功能;

       对系统级描述语言的最全面支持,SystemVerilog,SystemC,PSL;

ASIC Sign off。可以单独或同时进行行为(behavioral)、RTL级、和门级(gate-level)的代码。

       好了,今天关于“modelsim”的话题就讲到这里了。希望大家能够通过我的讲解对“modelsim”有更全面、深入的了解,并且能够在今后的学习中更好地运用所学知识。